Lógica combinacional: 21 hechos importantes que debes saber

Definición de lógica combinacional

La lógica combinacional es un tipo de lógica en la que la salida solo puede modificarse mediante la entrada actual.

Circuitos lógicos combinacionales | ¿Qué es el circuito lógico combinacional?

Los circuitos combinacionales son un tipo de circuitos en los que la entrada de corriente solo puede modificar la salida de corriente. Este circuito también se conoce como el circuito independiente del reloj porque para su funcionamiento no necesita un reloj. Este circuito no tiene un elemento de memoria ni una ruta de retroalimentación, por lo que el circuito no puede almacenar ningún dato. Un circuito combinacional se puede diseñar combinando las puertas lógicas. Los circuitos utilizados en la lógica combinacional se utilizan como codificación, decodificación, detección de errores, manipulación, etc. Los circuitos básicos de la lógica combinacional son multiplexor, decodificador, codificador, shitter, sumador, restador, etc.

imagen 2 1 2

Fig. Diagrama de bloques de un circuito combinacional.

Un circuito lógico combinacional puede tener un número 'n' de variables de entrada y un número 'm' de la variable de salida. Para la variable de entrada 'n', hay 2n posibles combinaciones de variables de entrada. Para cada combinación única de variables de entrada, solo hay una combinación de salida posible. La función de salida siempre se expresa en términos de las variables de entrada. Una tabla de verdad o una ecuación booleana pueden determinar la relación entre la salida y la entrada de un circuito combinacional.

Tipos de circuitos lógicos combinacionales

La clasificación de los circuitos combinacionales se basa en la aplicación que se utilizan:

  1. Circuito aritmético y lógico: sumador, resta, comparadores, etc.
  2. Transmisión de datos: multiplexor, demultiplexor, codificador, etc.
  3. Convertidor de código: convertidor de código binario, convertidor de código BCD, etc.

Puertas lógicas combinadas

Las puertas lógicas combinacionales son la puerta fundamental que se combina para formar cualquier circuito en la electrónica digital. Una puerta lógica es ideal para implementar una función booleana esencial, por ejemplo, puerta, puerta NAND, puerta OR, puerta NOR, etc.

Puertas lógicas combinacionales
Crédito de la imagen: "Puertas lógicas" by plusea está licenciado bajo CC BY 2.0

Y puerta:

La puerta AND tiene dos o más entradas con una salida. La salida es alta significa '1' cuando toda la entrada es alta; de lo contrario, el resultado es bajo significa '0'.

imagen 3 2

Fig. Diagrama lógico de la puerta AND

O puerta:

La puerta OR tiene dos o más entradas y una salida. La salida es alta significa '1' cuando al menos una entrada es alta; de lo contrario, el resultado es bajo, lo que significa '0'. Pero en la puerta OR comercial con los tipos de entrada 2,3 y $ está disponible.

imagen

Fig. Diagrama lógico de la puerta OR

NO puerta:

La puerta NO tiene una entrada con una salida. Cuando la entrada es alta significa '1', entonces la salida de la puerta NOT será baja, lo que significa '0'.

imagen 4 1

Fig. Diagrama lógico de la puerta NOT

Puerta NAND:

La puerta NAND significa NO Y, aquí la salida de la puerta Y se alimenta a la puerta NO. La puerta NAND se puede diseñar a partir de la tabla de verdad de la puerta AND complementando las variables de salida. El resultado de la puerta NAND es bajo cuando toda la entrada lógica es alta. De lo contrario, la salida es alta.

imagen 5 1

Fig. Diagrama lógico de la puerta NAND

Puerta NOR:

NI significa NO O puerta. Aquí la salida de la puerta OR se alimenta a la puerta NOT. Puerta NOR diseñada a partir de la tabla de verdad de la puerta OR complementando todas las variables de salida. La salida de una puerta NOR es alta cuando todas las entradas son bajas. De lo contrario, la salida es baja.

imagen 6 1

Fig. Diagrama lógico de la puerta NOR

Puerta XOR:

Puerta XOR significa puerta OR exclusiva, también conocida como puerta EX-OR, tiene dos entradas y una salida. Para dos puertas de entrada, la salida de la puerta XOR es alta, lo que significa '1' cuando el bit de entrada es diferente, y la salida es baja significa '0' cuando hay una entrada similar.

imagen 7 1

Fig. Diagrama lógico de la puerta XOR

Puerta XNOR:

XNOR significa puerta NOR exclusiva, también conocida como EX-NOR; NO es de EX-OR. La salida de una puerta XNOR de dos entradas es alta, lo que significa '1' cuando la entrada es similar y Baja cuando, a diferencia de la entrada.

imagen 8 1

Fig. Diagrama lógico de la puerta XNOR

Ejemplos de lógica combinacional | Ejemplos de circuitos lógicos combinacionales

Mitad de víbora:

Half sumador es un ejemplo de circuito combinacional, en el que podemos agregar dos bits. Tiene dos entradas, cada una de un bit y dos de salida, en las que una es salida de acarreo y la otra es salida de suma.

imagen 9 1 1

Fig. Diagrama lógico del medio sumador diseñado con puerta AND y puerta XOR.

Sumador completo:

El sumador completo es un ejemplo del circuito combinatorio aritmético; aquí, podemos sumar su bit a la vez, y tiene dos salidas de suma y acarreo. En medio sumador, solo podríamos agregar dos bits a la vez. Un sumador completo supera esa limitación; un sumador completo es esencial para sumar un número binario enorme. Sin embargo, un sumador completo puede agregar un número binario de un solo bit a la vez, pero al conectar en cascada el sumador completo, podemos agregar un número binario más extenso. Sin embargo, podemos crear un sumador completo combinando dos medios sumadores.

imagen 10 2

Fig. Diagrama de bloques del sumador completo

Medio sustractor:

Un medio resta es un circuito combinatorio aritmético que realiza la resta de dos bits de entrada y proporciona dos salidas, una como diferencia y la otra como préstamo. El diseño del circuito de resta es principalmente similar al de un sumador. No puedo considerar ninguna entrada prestada.

imagen 11 1

Fig. Diagrama lógico del medio restador diseñado con puerta Y, puerta NO y puerta XOR.

Sustractor completo:

Full restar es también un circuito combinacional aritmético, donde podemos realizar la resta de tres entradas de un bit, las entradas son el minuendo, el sustraendo y un préstamo. Genera dos salidas, una como diferencia de la entrada y otra como préstamo.

imagen 12 1

Fig. Diagrama de bloques del restador completo.

Multiplexor:

El multiplexor tiene múltiples entradas y una sola salida, y tiene una línea selectora que selecciona una entrada a la vez como requisito. Lo envía a la línea de salida, y para el número 'n' de entrada aquí, necesitamos el número 'm' de la línea de selección donde n = 2m. También tiene una línea de entrada habilitada, lo que nos permite conectar el multiplexor en cascada o ampliarlo según sea necesario. También se le llama selector de datos. 16: 1 Es el multiplexor más grande disponible en forma de IC.

imagen 13 2

Fig. Diagrama de bloques del multiplexor.

Demultiplexor:

El demultiplexor tiene una sola entrada y múltiples salidas. Tiene una línea selectora que selecciona una línea de salida a la vez; con la línea de selección, podemos distribuir la señal de entrada en muchas líneas de salida según nuestros requisitos. Para el número 'n' de la línea de salida aquí, necesitamos el número 'm' de la línea de selección donde n = 2m. Demultiplexor puede funcionar como un convertidor binario a decimal.

imagen 14 2

Fig. Diagrama de bloques del demultiplexor.

Comparador:

Un comparador es un circuito combinacional donde puede comparar la magnitud de un número de dos n bits y proporcionarnos el resultado relativo como salida. Puede tener tres salidas. Por ejemplo, la entrada que proporcionamos A y B al comparador donde A y B pueden ser un número de n bits, la salida del comparador puede ser A B. El circuito verifica la magnitud de la entrada y la compara; hay un puerto de salida diferente para A = B, A> B y A

imagen 15 1

Fig. Diagrama de bloques del comparador de n bits

Encoder:

La el codificador es un circuito combinacional. Tiene 2n líneas de entrada y tiene 'n' líneas de salida correspondientes a la entrada de código de n bits.

imagen 16 1

Fig. Diagrama de bloques del codificador.

Descifrador:

Es un circuito que convierte n líneas de entrada binarias a un máximo de 2n líneas de salida.

imagen 17 1

Fig. Diagrama de bloques de un decodificador.

Sumador BCD:

Un sumador BCD es un circuito combinatorio aritmético utilizado para operar la suma de números BCD, dígitos y salida producida en forma BCD. A veces, la salida de un sumador BCD puede ser un número BCD válido y luego convierte ese número BCD no válido en válido añadiendo 0110 a la salida no válida.

Sustractor de BCD:

Un restador BCD debe operar la resta en el número BCD. Si tomamos dos números BCD de entrada, uno como A y el otro como B, la resta del número BCD es equivalente a la suma de un complemento de B a A. En BCD, se usa el método de complemento de 9 o complemento de 10.

ALU (Unidad Aritmética Lógica):

 El circuito de la unidad lógica aritmética se usa ampliamente como un circuito combinacional, y este circuito se usa para realizar todas las operaciones aritméticas y lógicas para un procesador. ALU se conoce como el corazón de un microprocesador o microcontrolador.

Archivo: ALU block.gif
Crédito de la imagen: "Archivo: ALU block.gif" by Lambtron está licenciado bajo CC BY-SA 4.0

Lógica combinacional con MSI y LSI

MSI son las siglas de “Integración a escala media”, puede contener de 30 a 1000 componentes electrónicos en un solo chip de IC. LSI significa “integración a gran escala”. Puede tener miles de componentes embebidos e integrados en un solo IC.

Sumador con MSI y LSI:

MESA DE LA VERDAD:

ABCSC
00000
00110
01010
01101
10010
10101
11001
11111

Ecuación para la suma:

S=AB'C+A'BC+AB

Llevar:

C = AB'C + A'BC + AB

imagen 18 2

Fig. Implementación de Full-Adder en circuitos MSI o LSI.

Diseño de lógica combinacional | Diseño de un circuito de lógica combinacional

El objetivo de diseñar la lógica combinacional:

  • Para obtener la salida deseada de los circuitos.
  • Un circuito económico significa construir un circuito con gastos mínimos.
  • La complejidad de los circuitos debe reducirse tanto como sea posible.
  • Con un número mínimo de puertas, se debe diseñar un circuito digital para minimizar el retardo general del circuito.

El circuito combinacional se puede diseñar con el multiplexor, procedimiento para diseñar:

  • Determine el número de variables de entrada y salida del circuito requerido.
  • Obten lo tabla de verdad o diagrama lógico del circuito requerido.
  • A partir de la tabla de verdad o lógica, el diagrama determina la expresión booleana del circuito requerido y lo expande en minitérminos, y cada uno define una línea de datos única del multiplexor.
  • Para 'n' número de entrada, las variables obtienen 2n a 1 multiplexor.
  • Con la ayuda de una línea y una entrada seleccionadas, puede obtener la salida del multiplexor de acuerdo con su circuito deseado.

Diseño de circuitos combinacionales mediante puertas lógicas

El diseño de un circuito lógico combinacional se puede hacer con puertas, mientras que las puertas están prácticamente disponibles como IC. Para diferentes puertas, hay otros IC disponibles con diferentes números de IC.

Pasos o procedimiento para obtener el circuito lógico combinacional requerido:

  • Determine el número de variables de entrada o salida necesarias para la operación mediante la tabla de verdad, la declaración booleana o la expresión dadas.
  • Derive la expresión en forma de suma de producto (SOP) o producto de suma (POS).
  • Reduzca la expresión utilizando el método de reducción booleano o K-map.
  • Puede diseñar el circuito con el número requerido de puertas en el diagrama lógico a través de la expresión reducida.

Funciones de la lógica combinacional

Las funciones de una lógica combinacional se pueden definir con Tabla de verdad, Diagrama lógico o Ecuación booleana.

Mesa de la verdad: La tabla de verdad es una lista tabular de todas las combinaciones binarias posibles de la variable de entrada y la combinación de salida relacionada de un circuito lógico. Solo hay dos posibilidades de un bit de entrada o salida, es decir, '0' y '1'. Si el número de entrada es 'n', habrá 2n combinaciones. En esta tabla, hay una fila para representar combinaciones de entrada, así como diferentes filas para combinaciones de salida. Esto se puede obtener del diagrama lógico o de la expresión booleana del circuito.

Diagrama de lógica: El diagrama lógico se compone principalmente de una puerta lógica básica y alguna representación simbólica del circuito. Nos muestra la interconexión de puertas lógicas, representa algunas líneas de señal (como habilitar, seleccionar línea, líneas de control, etc.). Se utiliza para definir la funcionalidad de los circuitos. Se puede obtener mediante la expresión booleana o la tabla de verdad del circuito.

Expresión booleana: Ésta es una ecuación formada a partir de la combinación de variables de entrada y salida; aquí, la expresión se utiliza principalmente para definir la variable de salida de la variable de entrada. Esta expresión se puede derivar de la tabla de verdad o del diagrama lógico del circuito.

Ejemplos de la vida real de circuitos lógicos combinacionales

En la vida real, podemos ver el circuito combinatorio en calculadora, RAM (Random Access Memory), Sistema de comunicación, Unidad aritmética y lógica en CPU (Unidad central de procesamiento), Comunicación de datos, wi-fi, celular, Computadora, etc. Estos son un ejemplo de la vida real de dónde se utiliza el circuito combinacional.

Procedimiento de análisis en lógica combinacional

El análisis de circuito combinacional es el análisis de un circuito lógico dado o un diagrama de circuito; desde aquí podemos recopilar información sobre el circuito. Un el análisis es verificar los comportamientos de la circuitería con sus especificaciones; análisis de un circuito se puede utilizar para reducir el número de puertas, optimizar, reducir el retraso o convertir el circuito en otra forma requerida.

Procedimiento de análisis de lógica combinacional:

  • Determine la variable de salida de los circuitos e intente obtener una tabla de verdad o un diagrama lógico del circuito con las variables de entrada y salida.
  • A través de una tabla de verdad o diagrama lógico de los circuitos, defina la función booleana con la ayuda de variables de entrada y salida.

Verilog para lógica combinatoria de bucle

¿Qué es un bucle combinacional?

El bucle combinatorio es un bucle en el que la salida de una lógica combinacional (que puede consistir en una o más puertas lógicas combinacionales) se retroalimenta a la misma lógica sin ningún elemento de memoria en la ruta de retroalimentación.

Tipos de bucle combinacional:

  • No es equivalente a pestillo
  • Equivalente a pestillo
imagen 19 1

Fig. Pestillo de tipo bucle combinado

Verilog para lógica combinacional de bucle:

Si (sel == 1'b0)

Y = I0;

más

Y = Y;

Aquí se implementó un bucle combinatorio, que es equivalente a pestillo.

Circuitos lógicos combinacionales CMOS | Redes lógicas combinacionales

Circuitos integrados de lógica CMOS_52672-480x360
Crédito de la imagen: "CMOS-Logic-ICs_52672-480 × 360" by Fotos de dominio público está licenciado bajo CC BY 2.0

El CMOS estático se usa ampliamente para circuitos porque tiene un buen rendimiento y un bajo consumo de energía. Una puerta CMOS es una combinación de una red pull-up (PUN) y una red pull-down (PDN); una entrada se distribuye a los circuitos pull-up y pull-down.

La función de la red pull-up es conectar la salida con la fuente de voltaje cuando la salida necesita ser '1'. Mientras que una red desplegable proporciona la conexión entre la tierra y la salida cuando la salida debe ser '0'. La red desplegable está diseñada con NMOS y PMOS se usa en PUN. NMOS se conecta en serie para formar la función Y, mientras que cuando se conecta en paralelo desde la función OR. Donde PMOS en forma paralela sale como función NAND y la serie forma la función NOR.

imagen 20 2

Fig. Diagrama CMOS del medio sumador.

 CMOS es una red complementaria. Esto significa que para la conexión en paralelo en la red pull-up existe la conexión en serie en la red pull-down. La puerta complementaria generalmente se invierte. Con una etapa, puede realizar una función como NAND, NOR y XNOR, y para la función booleana no inversora como AND, OR y XOR, requería una etapa inversora adicional. El número de transistores para la implementación de una puerta lógica de n entradas es 2n.

Lógica combinacional MUX

MUX, es decir, Multiplexor es un diseño de lógica combinacional, tiene solo una salida y puede tener múltiples entradas. Tiene 'n' línea de selección para 2n entrada, las líneas de selección se utilizan para seleccionar qué línea de entrada se conectará a la línea de salida.

imagen 13 1

Fig. Diagrama de bloques de un multiplexor 4: 1

TABLA DE VERDAD DEL MULTIPLEXOR 4: 1:

S1S2Y
00I0
01I1
10I2
11I3

Cerradura de combinación simple con puertas lógicas

Un aspecto combinatorio simple es un circuito diseñado con compuerta XOR y NOR, donde la compuerta XOR es un comparador de bits y la compuerta NOR se usa como inversor controlado. Podemos usar XOR para verificar y comparar la entrada y el código clave bit a bit; si la entrada coincide completamente con el código de la llave, la cerradura se desbloqueará. Cuando las entradas y no el mismo XOR proporcionan '1' como salida, ahora la salida pasará por la puerta NOR. De esta forma, podemos diseñar una cerradura sencilla mediante cancelas.

Aplicaciones de circuitos lógicos combinacionales

Los circuitos lógicos combinacionales son el circuito básico en electrónica digital incluso circuito secuencial está diseñado a partir del circuito combinacional con el elemento de memoria.

Estos circuitos se utilizan para diseñar la ROM de una computadora o un microprocesador. La ROM (memoria de solo lectura) está diseñada con codificador, decodificador, multiplexor, circuito sumador, circuito sustractor, etc., que son todos circuitos combinacionales.

Mientras que ALU (unidad aritmética y lógica) en el procesador, que también es del circuito combinacional, consta principalmente de sumador, resta, etc., para realizar todas las operaciones aritméticas.

El codificador y el decodificador se utilizan para convertir una forma de datos a otra (como de binario a decimal); estos se utilizan comúnmente en la comunicación para transferir datos de un extremo a otro. Este circuito proporciona sincronización si es necesario; con la ayuda de estos, podemos realizar cualquier operación con mayor precisión.

Se utiliza un multiplexor para transferir datos en una sola línea. Este circuito se utiliza en radiodifusión, telegrafía, etc.

Desventajas de los circuitos lógicos combinacionales

La limitación o desventaja del medio sumador se supera con un sumador completo, mientras que el restador completo supera la restricción del medio restador.

Desventajas del multiplexor: Limitación del uso del puerto, que se puede usar en una secuencia específica. Los circuitos pueden causar demoras.

La desventaja del demultiplexor: el desperdicio de ancho de banda, el retraso puede deberse a la sincronización.

Desventajas del codificador: los circuitos complejos se pueden someter fácilmente a interferencias magnéticas.

En general, el circuito combinacional es complejo a medida que el circuito se hace más grande; en circuitos más grandes, puede haber un alto retardo de propagación, no tiene ningún elemento de memoria.

Circuitos lógicos combinacionales MCQ | Problemas y soluciones de circuitos lógicos combinacionales | Preguntas más frecuentes

¿Qué es la lógica combinacional? ¿Cuáles son sus características? ?

Descrito en Circuito lógico combinacional .

¿Qué es el demultiplexor 1 * 4 en circuitos lógicos combinacionales?

Un demultiplexor de 1 a 4 tiene dos líneas de selección, cuatro salidas y una entrada. Los datos de entrada conectados a la línea de salida de acuerdo con la línea de selección.

imagen 14 1

Fig. Diagrama de bloques del demultiplexor 1: 4

Mesa de la verdad:

ENTRADAS   SALIDAS 
S1S0Y3Y2Y1Y0
000001
010010
100100
111000

¿Alguna vez puedes tener metaestabilidad con lógica combinacional pura? ?

Sí, puede haber un estado de metaestabilidad durante algún tiempo en la lógica combinacional pura.

             La metaestabilidad se refiere al estado que no se puede definir como '0' o '1'. Por lo general, esto le sucede a un circuito cuando el voltaje está atascado entre '0' y '1', lo que puede causar oscilación, salida incierta, transición poco clara, etc. Cuando una señal de este tipo pasa por el circuito combinacional, puede violar las puertas básicas. especificación y propagación por todo el circuito.

Por ejemplo, al tomar el circuito dado, como vemos aquí, hay una puerta Y y una puerta NO, prácticamente un circuito tiene algún retardo de propagación; como la puerta AND tiene algún retardo de propagación, la puerta NOT debe hacerlo. Como sabemos, la salida debe definirse en todo momento, pero hay un intervalo de tiempo T en el que el estado de salida o el estado de transición no es definitivo o indeseable. Ese estado en ese intervalo de tiempo puede considerarse como metaestabilidad de un circuito lógico combinacional puro.

Consideración del diseño de diferentes circuitos lógicos combinacionales en VHDL.

Para diseñar circuitos, debe conocer los conceptos básicos de VHDL, como representar una función booleana, representar una puerta fundamental, etc.

Aquí consideramos el sumador completo como ejemplo:

En VHDL:

Entity FullAdder es

Puerto (A, B, C: en bits;

D, S: bit de salida);

terminar FullAdder

Ventajas del diseño y prueba de circuitos lógicos combinacionales usando el esquema de auto prueba

Ventajas:

  • Menor costo de prueba.
  • La falla se puede detectar fácilmente.
  • Menor tiempo de prueba.
  • Para una mayor confiabilidad en el circuito, se utiliza un esquema de autoprueba.

¿Cuál es la diferencia entre circuito lógico combinacional y secuencial?

Saber sobre lógica secuencial haga clic aquí.

Deja un comentario